امتیاز موضوع:
  • 1 رأی - میانگین امتیازات: 2
  • 1
  • 2
  • 3
  • 4
  • 5
مشکلاتی که VHDL یا Verilog داریم...
نویسنده پیام
salehjg آفلاین
مدیر بخش
*****

ارسال‌ها: 1,281
موضوع‌ها: 133
تاریخ عضویت: آذر ۱۳۸۸

تشکرها : 9560
( 8557 تشکر در 2603 ارسال )
ارسال: #23
RE: مشکلاتی که VHDL یا Verilog داریم...
نقل قول: نه در واقع یک حافظه میخوام. چهار بیتی
نگرفتم
خب نمیشه کد زد؟

با signal در vhdl
یا با reg در verilog
۲۵-آذر-۱۳۹۳, ۰۰:۵۳:۴۹
وب سایت ارسال‌ها
پاسخ
تشکر شده توسط : Ambassador
Ambassador آفلاین
مدیر بخش موبایل
*****

ارسال‌ها: 1,324
موضوع‌ها: 79
تاریخ عضویت: شهریور ۱۳۹۰

تشکرها : 30371
( 9873 تشکر در 3843 ارسال )
ارسال: #24
RE: مشکلاتی که VHDL یا Verilog داریم...
(۲۵-آذر-۱۳۹۳, ۰۰:۵۳:۴۹)salehjg نوشته است:
نقل قول: نه در واقع یک حافظه میخوام. چهار بیتی
نگرفتم
خب نمیشه کد زد؟

با signal در vhdl
یا با reg در verilog

خب شدن که میشه. یه d ff کد بزنی میشه حافظه تک بیتی و بعد همون رو 4 بیتی بنویسیم. منتهی گفتم آماده نیست :)

START...

۲۵-آذر-۱۳۹۳, ۰۱:۴۶:۲۴
ارسال‌ها
پاسخ
تشکر شده توسط : salehjg
salehjg آفلاین
مدیر بخش
*****

ارسال‌ها: 1,281
موضوع‌ها: 133
تاریخ عضویت: آذر ۱۳۸۸

تشکرها : 9560
( 8557 تشکر در 2603 ارسال )
ارسال: #25
RE: مشکلاتی که VHDL یا Verilog داریم...
آخه اولش فکر کردم ماژول های آماده به کارت میاد...
مثلا برای بافر سه حالته، کتابخونه آماده هست
اشتباه نکنم اسمش IBUFF بود
۲۶-آذر-۱۳۹۳, ۰۱:۲۳:۳۶
وب سایت ارسال‌ها
پاسخ
تشکر شده توسط : Ambassador
Ambassador آفلاین
مدیر بخش موبایل
*****

ارسال‌ها: 1,324
موضوع‌ها: 79
تاریخ عضویت: شهریور ۱۳۹۰

تشکرها : 30371
( 9873 تشکر در 3843 ارسال )
ارسال: #26
RE: مشکلاتی که VHDL یا Verilog داریم...
این کد vhdl فلیپ فلاپ d . dflip که از نت اونوریا گرفتم. یه بیتی . وکتورش کنیم میشه چنتایی

کد:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity D_FF_VHDL is
   port
   (
      clk : in std_logic;

      rst : in std_logic;
      pre : in std_logic;
      ce  : in std_logic;

      d : in std_logic;

      q : out std_logic
   );
end entity D_FF_VHDL;

architecture Behavioral of D_FF_VHDL is
begin
   process (clk) is
   begin
      if rising_edge(clk) then  
         if (rst='1') then  
            q <= '0';
         elsif (pre='1') then
            q <= '1';
         elsif (ce='1') then
            q <= d;
         end if;
      end if;
   end process;
end architecture Behavioral;

   

START...

۲۶-آذر-۱۳۹۳, ۲۲:۲۴:۴۱
ارسال‌ها
پاسخ
تشکر شده توسط : salehjg
helma آفلاین
(✿◕‿◕)
*****

ارسال‌ها: 284
موضوع‌ها: 16
تاریخ عضویت: مرداد ۱۳۸۹

تشکرها : 22884
( 14662 تشکر در 5220 ارسال )
ارسال: #27
RE: مشکلاتی که VHDL یا Verilog داریم...
میشه روش gate-level model و behaviord model توضیح بدین

بلندترین ساختمان ها، از یک سنگ شروع میشوند (جان شیفته.رومن رولان)
۱۶-دى-۱۳۹۳, ۰۳:۰۷:۱۷
ارسال‌ها
پاسخ
helma آفلاین
(✿◕‿◕)
*****

ارسال‌ها: 284
موضوع‌ها: 16
تاریخ عضویت: مرداد ۱۳۸۹

تشکرها : 22884
( 14662 تشکر در 5220 ارسال )
ارسال: #28
RE: مشکلاتی که VHDL یا Verilog داریم...
مثلا چرا برای ساخت full-adder با gate-level model نوشته
کد:
Assign s=a^b^cin;
Assign c=(a&b)|(a&cin)|(b&cin);

ولی با behaviord model نوشته

کد:
Assign{c,s}=a+b+cin;

بلندترین ساختمان ها، از یک سنگ شروع میشوند (جان شیفته.رومن رولان)
۱۶-دى-۱۳۹۳, ۰۳:۴۴:۰۵
ارسال‌ها
پاسخ
تشکر شده توسط : Ambassador, Ambassador
salehjg آفلاین
مدیر بخش
*****

ارسال‌ها: 1,281
موضوع‌ها: 133
تاریخ عضویت: آذر ۱۳۸۸

تشکرها : 9560
( 8557 تشکر در 2603 ارسال )
ارسال: #29
RE: مشکلاتی که VHDL یا Verilog داریم...
کد php:
ello cyboman,

think of say4to1 multiplexer.
you can write the code in verilog or vhdl for the mux.

there are thre ways describing the mux in hdl.

imagine the blackbox model with input and outputs of mux alone.

-if 
you write the code as the mux consisting of 'gates' ,
then it is 'structural' model.

-if 
you write the 'boolean equation' or 'truth table ' of mux
then it is 
'rtl' model .

-
on the other hand without worrying the above two ,
you can write it as, something like,

if(
selects0,s1==00)
o/p=a;
....

this model is 'behaviour'
in 'behaviuoral model u dont worry about what is the logic
or boolean equationof the mux.. just input/output relationship.

write its '
behaviour' ,in a highlevel construct of 'if...' etc. 
۱۷-دى-۱۳۹۳, ۰۳:۴۶:۴۸
وب سایت ارسال‌ها
پاسخ
تشکر شده توسط : رسول, Ambassador, helma
salehjg آفلاین
مدیر بخش
*****

ارسال‌ها: 1,281
موضوع‌ها: 133
تاریخ عضویت: آذر ۱۳۸۸

تشکرها : 9560
( 8557 تشکر در 2603 ارسال )
ارسال: #30
RE: مشکلاتی که VHDL یا Verilog داریم...
برداشت خودم :

در سطح gate level شما فقط به اعمال جبر بولی دسترسی دارین، مثل and و or و... و به جمع تفریق بیتی اینا دسترسی ندارین

راستی سلام
۱۷-دى-۱۳۹۳, ۰۳:۴۹:۳۱
وب سایت ارسال‌ها
پاسخ
تشکر شده توسط : رسول, Ambassador, helma
salehjg آفلاین
مدیر بخش
*****

ارسال‌ها: 1,281
موضوع‌ها: 133
تاریخ عضویت: آذر ۱۳۸۸

تشکرها : 9560
( 8557 تشکر در 2603 ارسال )
ارسال: #31
RE: مشکلاتی که VHDL یا Verilog داریم...
http://www.edaboard.com/thread177000.html
۱۷-دى-۱۳۹۳, ۰۳:۵۰:۳۷
وب سایت ارسال‌ها
پاسخ
تشکر شده توسط : رسول, Ambassador, helma
helma آفلاین
(✿◕‿◕)
*****

ارسال‌ها: 284
موضوع‌ها: 16
تاریخ عضویت: مرداد ۱۳۸۹

تشکرها : 22884
( 14662 تشکر در 5220 ارسال )
ارسال: #32
RE: مشکلاتی که VHDL یا Verilog داریم...
تشکر
یه سوال دیگه من میتونم به جای دستور

کد:
assign q=d;

از دستور استفاده کنم

کد:
q<=d;

بلندترین ساختمان ها، از یک سنگ شروع میشوند (جان شیفته.رومن رولان)
۱۷-دى-۱۳۹۳, ۱۸:۴۷:۵۳
ارسال‌ها
پاسخ
تشکر شده توسط : salehjg
salehjg آفلاین
مدیر بخش
*****

ارسال‌ها: 1,281
موضوع‌ها: 133
تاریخ عضویت: آذر ۱۳۸۸

تشکرها : 9560
( 8557 تشکر در 2603 ارسال )
ارسال: #33
RE: مشکلاتی که VHDL یا Verilog داریم...
سلام
اینو منم نمیدونم

و تنها چیزی که میدونم:

در initial کردن reg ها از => استفاده میشه

کد php:
initial begin
    out_data_32bit_r 
<= 32'b0;    
end 
۱۹-دى-۱۳۹۳, ۰۱:۴۹:۵۸
وب سایت ارسال‌ها
پاسخ
تشکر شده توسط : رسول, Ambassador, helma, 1نفر


موضوعات مرتبط با این موضوع...
موضوع نویسنده پاسخ بازدید آخرین ارسال
  مشكل در VHDL sajjadea 17 11,424 ۲۲-شهریور-۱۳۹۶, ۰۱:۳۱:۳۸
آخرین ارسال: salehjg

پرش به انجمن:


کاربرانِ درحال بازدید از این موضوع: 7 مهمان

صفحه‌ی تماس | IranVig | بازگشت به بالا | | بایگانی | پیوند سایتی RSS